Меню
Бесплатно
Главная  /  ПО  /  Изучение режимов пзу и озу мультисим. Построение внутренней памяти процессорной системы - курсовая работа

Изучение режимов пзу и озу мультисим. Построение внутренней памяти процессорной системы - курсовая работа

ПЗУ – память, информация в которой, будучи однажды записанной, изменению не подлежит. Например, программа загрузки в ОЗУ микропроцессорной системы информации из внешней памяти. Все типы ПЗУ используют один и тот же принцип построения схемы. Информация в ПЗУ представляется в виде наличия или отсутствия соединения между шинами адреса и данных.

Условное графическое обозначение ПЗУ представлено на рис.26.10.

Рис.26.10. Условное графическое обозначение ПЗУ

Рис. 26.11. Схема ПЗУ

На рис. 26.11 приведена схема простейшего ПЗУ. Для реализации ПЗУ достаточно использовать дешифратор, диоды, набор резисторов и шинные формирователи. Рассматриваемое ПЗУ содержит разрядных слова, т.е. его общий объем составляет 32 бит. Количество столбцов определяет разрядность слова, а количество строк – количество 8 разрядных слов. Диоды устанавливаются в тех местах, где должны храниться биты, имеющие значение логического «0» (дешифратор подает 0 на выбранную строку). В настоящее время вместо диодов ставят МОП-транзисторы.

В табл. 26.1 приведено состояние ПЗУ, схема которого приведена на рис. 26.11.

Таблица 26.1

Состояние простого ПЗУ

Слово Двоичное представление
А0 А1 D1 D2 D3 D4 D5 D6 D7 D8

Как правило, ПЗУ имеют многоразрядную организацию со структурой 2DM . Технологии изготовления самые разнообразные – КМОП, n-МОП, ТТЛ(Ш) и диодные матрицы.

Все ПЗУ можно разделить на следующие группы: программируемые при изготовлении (масочные), с однократным программированием и перепрограммируемые.

В запоминающих устройствах, программируемых при изготовлении (ПЗУ или ROM), информация записывается непосредственно в процессе их изготовления с помощью фотошаблона, называемого маской, на завершающем этапе технологического процесса. Такие ПЗУ называемые масочными, построены на диодах, биполярных или МОП транзисторах.

Область использования масочных ПЗУ – хранение стандартной информации, например знакогенераторы (коды букв латинского и русского алфавита), таблицы типовых функций (синусы, квадратичные функции), стандартное программное обеспечение.

Программируемые постоянные запоминающие устройства (ППЗУ, или PROM ) – ПЗУ с возможностью однократного электрического программирования. Этот вид памяти позволяет пользователю однократно запрограммировать микросхему памяти с помощью программаторов.

Микросхемы ППЗУ построены на запоминающих ячейках с плавкими перемычками. Процесс программирование заключается в избирательном пережигании плавких перемычек с помощью импульсов тока достаточной амплитуды и длительности. Плавкие перемычки включаются в электроды диодов или транзисторов.

На рис. 26.12 приведена схема ППЗУ с плавкими перемычками. Оно изготавливается со всеми диодами и перемычками, т.е. в матрице все «0», а при программировании пережигаются те перемычки, в ячейках которых должны быть логические «1».

Рис. 26.12. Фрагмент схемы ППЗУ

Репрограммируемые постоянные запоминающие устройства (РПЗУ и РПЗУ УФ) – ПЗУ с возможностью многократного электрического программирования. В ИС РПЗУ УФ (EPROM ) старая информация стирается с помощью ультрафиолетовых лучей, для чего в корпусе микросхемы имеется прозрачное окошко; в РПЗУ (EEPROM ) – с помощью электрических сигналов.

Запоминающие ячейки РПЗУ строятся на n -МОП или КМОП транзисторах. Для построения ЗЭ используются различные физические явления хранения заряда на границе между двумя диэлектрическими средами или проводящей и диэлектрической средой.

В первом варианте диэлектрик под затвором МОП транзистора делают из двух слоев: нитрида кремния и двуокиси кремния. Этот транзистор называется МНОП: металл – нитрид кремния – окисел – полупроводник. На границе диэлектрических слоев возникают центры захвата зарядов. Благодаря туннельному эффекту носители заряда могут проходить сквозь тонкую пленку окисла и скапливаться на границе раздела слоев. Этот заряд, являющийся носителем информации, хранимой МНОП-транзистором, приводит к изменению порогового напряжения транзистора. При этом пороговое напряжение возрастает настолько, что рабочее напряжение на затворе транзистора не в состоянии его открыть. Транзистор, в котором заряд отсутствует, легко открывается. Одно из состояний определено как логическая единица, второе – ноль.

Во втором варианте затвор МОП транзистора делают плавающим, т.е. не связанным с другими элементами схемы. Такой затвор заряжается током лавинной инжекции при подаче на сток транзистора высокого напряжения. В результате заряд на плавающем затворе влияет на ток стока, что используется при считывании информации, как и в предыдущем варианте с МНОП транзистором. Такие транзисторы получили название ЛИЗМОП (МОП транзистор с лавинной инжекцией заряда). Так как затвор транзистора окружен изолятором, ток утечки очень мал и информация может храниться достаточно долго (десятки лет).

В РПЗУ с электрическим стиранием над плавающим затвором транзистора размещают второй – управляющий затвор. Подача напряжения на него вызывает рассасывание заряда на плавающем затворе за счет туннельного эффекта. РПЗУ имеют весомые преимущества перед РПЗУ УФ, так как не требуют для перепрограммирования специальных источников ультрафиолетового света. ЗУ с электрическим стиранием практически вытеснили ЗУ с ультрафиолетовым стиранием.

Фрагмент схемы РПЗУ с использованием двухзатворных транзисторов типа ЛИЗМОП показан на рис. 26.13. Запись логического нуля осуществляется в режиме программирования с помощью заряда плавающего затвора. Стирание информации, т.е. разряд плавающего затвора, означает запись логической единицы. В этом случае при подаче сигнала по линии выборки опрашиваемые транзисторы открываются и передают напряжение U ПИТ на линии считывания.

Современные РПЗУ имеют информационную емкость до 4 Мбит при тактовой частоте до 80 МГц.

26.5. Flash -память

Основные принципы работы и тип запоминающих элементов Flash -памяти аналогичны ППЗУ с электрической записью и стиранием информации, построенной на транзисторах с плавающим затвором. Как правило, благодаря своим особенностям, Flash -память выделяют в отдельный класс. В ней производится стирание или всей записанной информации одновременно, или больших блоков информации, а не стирание отдельных слов. Это позволяет исключить схемы управления записью и стиранием отдельных байтов, что дает возможность значительно упростить схему ЗУ и достичь высокого уровня интеграции и быстродействия при снижении стоимости.

Рис.26.13. Фрагмент схемы РПЗУ

Современные тенденции развития электронных приборов требуют постоянного увеличения объема используемой памяти. На сегодня инженеру доступны микросхемы как энергозависимой памяти типа DRAM , которую характеризуют предельно низкая цена за бит и большие уровни интеграции, так и энергонезависимой Flash -памяти, себестоимость которой постоянно снижается и стремится к уровню DRAM .

Потребность в энергонезависимой Flash -памяти растет пропорционально степени продвижения компьютерных систем в сферу мобильных приложений. Надежность, малое энергопотребление, небольшие размеры и незначительный вес являются очевидными преимуществами носителей на основе Flash -памяти в сравнении с дисковыми накопителями. С учетом постоянного снижения стоимости хранения единицы информации в Flash -памяти, носители на её основе предоставляют все больше преимуществ и функциональных возможностей мобильным платформам и портативному оборудованию, использующему такую память. Среди многообразия типов памяти, Flash -память на основе ячеек NAND является наиболее подходящей основой для построения энергонезависимых устройств хранения больших объемов информации.

В настоящее время можно выделить две основных структуры построения флэш-памяти: память на основе ячеек NOR (ИЛИ-НЕ) и NAND (И-НЕ). Структура NOR (рис. 26.14, а) состоит из параллельно включенных элементарных ячеек хранения информации. Такая организация ячеек обеспечивает возможность произвольного доступа к данным и побайтной записи информации. В основе структуры NAND (рис. 26.14, б) лежит принцип последовательного соединения элементарных ячеек, образующих группы (в одной группе 16 ячеек), которые объединяются в страницы, а страницы – в блоки. При таком построении массива памяти обращение к отдельным ячейкам невозможно. Программирование выполняется одновременно только в пределах одной страницы, а при стирании обращение производится к блокам или к группам блоков.

Рис.26.14. Структуры на основе NOR (a) и NAND (б)

В результате различия в организации структуры между памятью NOR и NAND находят свое отражение в их характеристиках. При работе со сравнительно большими массивами данных процессы записи/стирания в памяти NAND выполняются значительно быстрее памяти NOR . Поскольку 16 прилегающих друг другу ячеек памяти NAND соединены последовательно друг с другом без каких-либо контактных промежутков, достигается высокая площадь размещения ячеек на кристалле, что позволяет получить большую емкость при одинаковых технологических нормах. В основе программирования флэш-памяти NAND лежит процесс туннелирования электронов. А поскольку он используется как для программирования, так и для стирания, достигается низкое энергопотребление микросхемы памяти. Последовательная структура организации ячеек позволяет получить высокую степень масштабируемости, что делает NAND-Flash лидером в гонке наращивания объемов памяти. Ввиду того, что туннелирование электронов осуществляется через всю площадь канала ячейки, интенсивность захвата заряда на единицу площади у NAND-Flash ниже, чем в других технологиях Flash -памяти, в результате чего она имеет более высокое количество циклов программирования/стирания. Программирование и чтение выполняются посекторно или постранично, блоками по 512 байт, для эмуляции общераспространенного размера сектора дисковых накопителей.

Более детально особенности микросхем Flash -памяти можно рассмотреть на примере кристаллов серии HY 27xx(08/16)1G 1M фирмы Hynix . На рис. 26.15 показана внутренняя структура и назначение выводов этих приборов.

Микросхема имеет следующие выводы:

I/O 8-15 – вход/выход данных для х16 устройств

I/O 0-7 – вход/выход данных, адресный вход или вход команд для х8 и х16 устройств;

ALE – включение адресной защелки;

CLE – включение защелки команд;

– выбор кристалла;

– разрешение чтения;

– чтение/занят (выход с открытым стоком);

– разрешение записи;

– защита от записи

V CC – напряжение питания;

V SS – общий вывод.

Рис.26.15. Схема внешних выводов (а), назначение выводов (б) и структурная схема (в) Flash -памяти

Линии адреса мультиплексированы с линиями ввода/вывода данных на 8-ми или 16-ти разрядной шине ввода/вывода. Такой интерфейс уменьшает количество используемых выводов и делает возможным переход к микросхемам большей емкости без изменения печатной платы. Каждый блок может быть запрограммирован и стерт 100000 раз. Микросхемы имеют выход «чтение/занят» с открытым стоком, который может использоваться для идентификации активности контроллера PER (Program/Erase/Read ). Поскольку выход сделан с открытым стоком, существует возможность подключать несколько таких выходов от разных микросхем памяти вместе через один «подтягивающий» резистор к положительному выводу источника питания.

Рис.26.16. Организация массива памяти NАND -структуры

Массив памяти NAND -структуры организован в виде блоков, каждый из которых содержит 32 страницы. Массив раздел на две области: главную и запасную (рис. 26.16).

Главная область массива используется для хранения данных, в то время как запасная область обычно задействована для хранения кодов коррекции ошибок (ECC ), программных флагов и идентификаторов негодных блоков (Bad Block ) основной области. В 8-битных устройствах страницы в главной области разделены на две полустраницы по 256 байт каждая, плюс 16 байт запасной области. В 16-ти битных устройствах страницы разделены на главную область объемом 256 слов и запасную объемом 8 слов.

Память на основе ячеек NOR имеет сравнительно большие времена стирания и записи, но обладает доступом к каждому биту на чтение. Данное обстоятельство позволяет применять такие микросхемы для записи и хранения программного кода, который не требует частого перезаписывания. Такими применениями могут быть, например, BIOS для встраиваемых компьютеров или ПО для телевизионных приставок.

Свойства NAND-Flash определили область ее применения: карты памяти и иные устройства хранения данных. Сейчас данный тип памяти применяется почти повсеместно в мобильных устройствах, фото- и видеокамерах и т.д. NAND-Flash лежит в основе практически всех типов карт памяти: SmartMedia , MMC , SecureDigital, MemoryStick

Достигнутая в настоящее время информационная емкость Flash -памяти достигает 8ГБит, типовая совокупная скорость программирования и стирания составляет до 33.6 мС / 64 кБ при тактовой частоте до 70 МГц.

Двумя основными направлениями эффективного использования Flash -памяти являются хранение редко изменяемых данных и замена памяти на магнитных дисках. Для первого направления используется Flash -память с адресным доступом, а для второго – файловая память.

26.6. ОЗУ типа FRAM

FRAM – оперативное энергонезависимое ЗУ, сочетающее высокое быстродействие и малую потребляемую мощность, присущие ОЗУ, со свойством хранения данных при отсутствии приложенного напряжения.

В сравнении с EEPROM и Flash -памятью время записи данных в ЗУ этого типа и потребляемая мощность намного меньше (менее 70 нс против нескольких миллисекунд), а ресурс по циклам записи намного выше (не менее 10 11 против 10 5 …10 6 циклов для EEPROM ).

FRAM должна стать в ближайшем будущем самой популярной памятью в цифровых устройствах. FRAM будет отличаться не только быстродействием на уровне DRAM , но и возможностью сохранять данные при отключении энергии. Словом, FRAM может вытеснить не только медленную Flash , но и обычную ОЗУ типа DRAM . Сегодня ферроэлектрическая память находит ограниченное применение, к примеру, в RFID -тэгах. Ведущие компании, в числе которых Ramtron, Samsung, NEC, Toshiba , активно развивают FRAM . Примерно к 2015 году на рынок должны поступить n -гигабайтные модули FRAM .

Указанные свойства FRAM обеспечивает сегнетоэлектрик (перовскит), используемый в качестве диэлектрика накопительного конденсатора ячейки памяти. При этом сегнетоэлектрическое ЗУ хранит данные не только в виде заряда конденсатора (как в традиционных ОЗУ), но и виде электрической поляризации кристаллической структуры сегнетоэлектрика. Сегнетоэлектрический кристалл имеет два состояния, которые могут соответствовать логическим 0 и 1.

Термин FRAM еще не устоялся. Первые FRAM получили название – ферродинамические ОЗУ. Однако в настоящее время в качестве запоминающих ячеек используется сегнетоэлектрик и сейчас FRAM часто называют сегнетоэлектрическим ОЗУ.

Первые FRAM имели 2Т /2С -архитектуру (рис.26.17, а), на основе которой выполняется и большинство современных микросхем сегнетоэлектрической памяти. Ячейка такого типа, в которой каждому биту соответствует индивидуальный опорный бит, позволяет определить разницу зарядов с высокой точностью. А благодаря считыванию дифференциального сигнала исключается влияние разброса параметров конденсаторов ячеек. Позже появились FRAM с архитектурой 1Т /1С (рис.26.17, б). Достоинство микросхем с такой архитектурой – меньшая, чем в обычных схемах площадь ячейки и, следовательно, меньшая стоимость микросхемы в пересчете на единицу информационной емкости.

На рис.26.18 приведена структурная схема сегнетоэлектрического ОЗУ (FRAM ) объемом 1 Мбит и параллельным интерфейсом доступа FM 20L 08 фирмы Ramtron . В таблице 26.1. показаны выводы микросхемы.

FM 20L 08 – энергонезависимая память с организацией 128К×8, которая считывается и записывается подобно стандартному статическому ОЗУ. Сохранность данных обеспечивается в течение 10 лет, при этом, нет необходимости задумываться о надежности хранения данных (неограниченная износостойкость), упрощается проектирование системы и исключается ряд недостатков альтернативного решения энергонезависимой памяти на основе статического ОЗУ с резервным батарейным питанием. Быстрота записи и неограниченное количество циклов перезаписи делают FRAM лидером по отношению к другим типам энергонезависимой памяти.

Рис.26.17. Ячейка памяти типа 2Т /2С (а) и 1Т /1С (б)

Рис.26.18. Структурная схема FRAM FM 20L 08

Очень часто в различных применениях требуется хранение информации, которая не изменяется в процессе эксплуатации устройства. Это такая информация как программы в микроконтроллерах, начальные загрузчики (BIOS) в компьютерах, таблицы коэффициентов цифровых фильтров в сигнальных процессорах, и , таблицы синусов и косинусов в NCO и DDS. Практически всегда эта информация не требуется одновременно, поэтому простейшие устройства для запоминания постоянной информации (ПЗУ) можно построить на мультиплексорах. Иногда в переводной литературе постоянные запоминающие устройства называются ROM (read only memory — память доступная только для чтения). Схема такого постоянного запоминающего устройства (ПЗУ) приведена на рисунке 1.

Рисунок 1. Схема постоянного запоминающего устройства (ПЗУ), построенная на мультиплексоре.

В этой схеме построено постоянное запоминающее устройство на восемь одноразрядных ячеек. Запоминание конкретного бита в одноразрядную ячейку производится запайкой провода к источнику питания (запись единицы) или запайкой провода к корпусу (запись нуля). На принципиальных схемах такое устройство обозначается как показано на рисунке 2.

Рисунок 2. Обозначение постоянного запоминающего устройства на принципиальных схемах.

Для того, чтобы увеличить разрядность ячейки памяти ПЗУ эти микросхемы можно соединять параллельно (выходы и записанная информация естественно остаются независимыми). Схема параллельного соединения одноразрядных ПЗУ приведена на рисунке 3.

Рисунок 3. Схема многоразрядного ПЗУ (ROM).

В реальных ПЗУ запись информации производится при помощи последней операции производства микросхемы — металлизации. Металлизация производится при помощи маски, поэтому такие ПЗУ получили название масочных ПЗУ . Еще одно отличие реальных микросхем от упрощенной модели, приведенной выше — это использование кроме мультиплексора еще и . Такое решение позволяет превратить одномерную запоминающую структуру в двухмерную и, тем самым, существенно сократить объем схемы дешифратора, необходимого для работы схемы ПЗУ. Эта ситуация иллюстрируется следующим рисунком:


Рисунок 4. Схема масочного постоянного запоминающего устройства (ROM).

Масочные ПЗУ изображаются на принципиальных схемах как показано на рисунке 5. Адреса ячеек памяти в этой микросхеме подаются на выводы A0 ... A9. Микросхема выбирается сигналом CS. При помощи этого сигнала можно наращивать объем ПЗУ (пример использования сигнала CS приведён при обсуждении ). Чтение микросхемы производится сигналом RD.

Рисунок 5. масочного ПЗУ (ROM) на принципиальных схемах.

Программирование масочного ПЗУ производится на заводе изготовителе, что очень неудобно для мелких и средних серий производства, не говоря уже о стадии разработки устройства. Естественно, что для крупносерийного производства масочные ПЗУ являются самым дешевым видом ПЗУ, и поэтому широко применяются в настоящее время. Для мелких и средних серий производства радиоаппаратуры были разработаны микросхемы, которые можно программировать в специальных устройствах — программаторах. В этих ПЗУ постоянное соединение проводников в запоминающей матрице заменяется плавкими перемычками, изготовленными из поликристаллического кремния. При производстве ПЗУ изготавливаются все перемычки, что эквивалентно записи во все ячейки памяти ПЗУ логических единиц. В процессе программирования ПЗУ на выводы питания и выходы микросхемы подаётся повышенное питание. При этом, если на выход ПЗУ подаётся напряжение питания (логическая единица), то через перемычку ток протекать не будет и перемычка останется неповрежденной. Если же на выход ПЗУ подать низкий уровень напряжения (присоединить к корпусу), то через перемычку запоминающей матрицы будет протекать ток, который испарит ее и при последующем считывании информации из этой ячейки ПЗУ будет считываться логический ноль.

Такие микросхемы называются программируемыми ПЗУ (ППЗУ) или PROM и изображаются на принципиальных схемах как показано на рисунке 6. В качестве примера ППЗУ можно назвать микросхемы 155РЕ3, 556РТ4, 556РТ8 и другие.

Рисунок 6. Условно-графическое обозначение программируемого постоянного запоминающего устройства (PROM) на принципиальных схемах.

Программируемые ПЗУ оказались очень удобны при мелкосерийном и среднесерийном производстве. Однако при разработке радиоэлектронных устройств часто приходится менять записываемую в ПЗУ программу. ППЗУ при этом невозможно использовать повторно, поэтому раз записанное ПЗУ при ошибочной или промежуточной программе приходится выкидывать, что естественно повышает стоимость разработки аппаратуры. Для устранения этого недостатка был разработан еще один вид ПЗУ, который мог бы стираться и программироваться заново.

ПЗУ с ультрафиолетовым стиранием строится на основе запоминающей матрицы построенной на ячейках памяти, внутреннее устройство которой приведено на следующем рисунке:

Рисунок 7. Запоминающая ячейка ПЗУ с ультрафиолетовым и электрическим стиранием.

Ячейка представляет собой МОП транзистор, в котором затвор выполняется из поликристаллического кремния. Затем в процессе изготовления микросхемы этот затвор окисляется и в результате он будет окружен оксидом кремния — диэлектриком с прекрасными изолирующими свойствами. В описанной ячейке при полностью стертом ПЗУ, заряда в плавающем затворе нет, и поэтому транзистор ток не проводит. При программировании ПЗУ, на второй затвор, находящийся над плавающим затвором, подаётся высокое напряжение и в плавающий затвор за счет туннельного эффекта индуцируются заряды. После снятия программирующего напряжения индуцированный заряд остаётся на плавающем затворе, и, следовательно, транзистор остаётся в проводящем состоянии. Заряд на плавающем затворе подобной ячейки может храниться десятки лет.

Структурная схема описанного постоянного запоминающего устройства не отличается от описанного ранее масочного ПЗУ. Единственное отличие — вместо плавкой перемычки используется описанная выше ячейка. Такой вид ПЗУ называется репрограммируемыми постоянными запоминающими устройствами (РПЗУ) или EPROM. В РПЗУ стирание ранее записанной информации осуществляется ультрафиолетовым излучением. Для того, чтобы этот свет мог беспрепятственно проходить к полупроводниковому кристаллу, в корпус микросхемы ПЗУ встраивается окошко из кварцевого стекла.

При облучении микросхемы РПЗУ, изолирующие свойства оксида кремния теряются, накопленный заряд из плавающего затвора стекает в объем полупроводника, и транзистор запоминающей ячейки переходит в закрытое состояние. Время стирания микросхемы РПЗУ колеблется в пределах 10 — 30 минут.

Количество циклов записи — стирания микросхем EPROM находится в диапазоне от 10 до 100 раз, после чего микросхема РПЗУ выходит из строя. Это связано с разрушающим воздействием ультрафиолетового излучения на оксид кремния. В качестве примера микросхем EPROM можно назвать микросхемы 573 серии российского производства, микросхемы серий 27сXXX зарубежного производства. В РПЗУ чаще всего хранятся программы BIOS универсальных компьютеров. РПЗУ изображаются на принципиальных схемах как показано на рисунке 8.

Рисунок 8. Условно-графическое обозначение РПЗУ (EPROM) на принципиальных схемах.

Так так корпуса с кварцевым окошком очень дороги, а также малое количество циклов записи-стирания привели к поиску способов стирания информации из РПЗУ электрическим способом. На этом пути встретилось много трудностей, которые к настоящему времени практически решены. Сейчас достаточно широко распространены микросхемы с электрическим стиранием информации. В качестве запоминающей ячейки в них используются такие же ячейки как и в РПЗУ, но они стираются электрическим потенциалом, поэтому количество циклов записи-стирания для этих микросхем достигает 1000000 раз. Время стирания ячейки памяти в таких ПЗУ уменьшается до 10 мс. Схема управления для электрически стираемых программируемых ПЗУ получилась сложная, поэтому наметилось два направления развития этих микросхем:

  1. ЕСППЗУ (EEPROM) — электрически стираемое программируемое постоянное запоминающее устройство
  2. FLASH-ПЗУ

Электрически стираемые ППЗУ (EEPROM) дороже и меньше по объему, но зато позволяют перезаписывать каждую ячейку памяти отдельно. В результате эти микросхемы обладают максимальным количеством циклов записи-стирания. Область применения электрически стираемых ПЗУ — хранение данных, которые не должны стираться при выключении питания. К таким микросхемам относятся отечественные микросхемы 573РР3, 558РР3 и зарубежные микросхемы EEPROM серии 28cXX. Электрически стираемые ПЗУ обозначаются на принципиальных схемах как показано на рисунке 9.

Рисунок 9. Условно-графическое обозначение электрически стираемого постоянного запоминающего устройства (EEPROM) на принципиальных схемах.

В последнее время наметилась тенденция уменьшения габаритов ЭСППЗУ за счет уменьшения количества внешних выводов микросхем. Для этого адрес и данные передаются в микросхему и из микросхемы через последовательный порт. При этом используются два вида последовательных портов — SPI порт и I2C порт (микросхемы 93сXX и 24cXX серий соответственно). Зарубежной серии 24cXX соответствует отечественная серия микросхем 558РРX.

FLASH-ПЗУ отличаются от ЭСППЗУ тем, что стирание производится не каждой ячейки отдельно, а всей микросхемы в целом или блока запоминающей матрицы этой микросхемы, как это делалось в РПЗУ.

Рисунок 10. Условно-графическое обозначение FLASH памяти на принципиальных схемах.

При обращении к постоянному запоминающему устройству сначала необходимо выставить адрес ячейки памяти на шине адреса, а затем произвести операцию чтения из микросхемы. Эта временная диаграмма приведена на рисунке 11.


Рисунок 11. Временные диаграммы сигналов чтения информации из ПЗУ.

На рисунке 11 стрелочками показана последовательность, в которой должны формироваться управляющие сигналы. На этом рисунке RD — это сигнал чтения, A — сигналы выбора адреса ячейки (так как отдельные биты в шине адреса могут принимать разные значения, то показаны пути перехода как в единичное, так и в нулевое состояние), D — выходная информация, считанная из выбранной ячейки ПЗУ.

Литература:

Вместе со статьей "Постоянные запоминающие устройства (ПЗУ)" читают:


http://сайт/digital/SintSxem.php

Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

Размещено на http://www.allbest.ru/

Курсовая работа на тему

Разработка микропроцессорной системы с заданными параметрами ОЗУ и ПЗУ

Введение

2. Расширение адресного пространств

Заключение

Список литературы

Введение

Замечательным свойством микропроцессорных систем является их высокая гибкость, возможность быстрой перенастройки при необходимости даже значительных изменений алгоритмов управления. Перенастройка осуществляется программным путем без существенных производственных затрат. Создание микропроцессоров позволяет уменьшить стоимость и размеры технических средств обработки информации, увеличить их быстродействие, снизить энергопотребление.

Характерные особенности микропроцессорных информационно-управляющих систем, предназначенных для автоматизации технологических процессов:

*наличие ограниченного набора четко сформулированных задач;

*требования оптимизации структуры системы для конкретного применения;

*работа в реальном масштабе времени, т.е. обеспечение минимального времени реакции на изменение внешних условий;

*наличие развитой системы внешних устройств, их большое разнообразие;

*существенное различие функциональных задач;

*высокие требования по надежности с учетом большой продолжительности непрерывной работы;

*сложные условия эксплуатации;

*обеспечение автоматического режима работы или режима с участием оператора как элемента системы.

Целью данной курсовой работы является разработка микропроцессорной системы с заданными параметрами ОЗУ и ПЗУ, а также расчет и проектирование дополнительного ОЗУ и проверка компонентов системы на электрическое взаимодействие.

1. Деление адресного пространства

Существует микропроцессорная система с модулем процессора, обладающим характеристиками:

· тип системной магистрали - с раздельными шинами;

· размер адресного пространства запоминающих устройств (объем ЗУ) - 64 к;

· разрядность шины данных запоминающих устройств - 16;

организация системы ввода/вывода:

· количество портов ввода/вывода - 2048;

· разрядность шины данных портов ввода/вывода - 16;

сигналы шины управления:

· - запись в запоминающее устройство;

· - чтение из запоминающего устройства;

· - запись во внешнее устройство;

· - чтение из внешнего устройства;

Подсоединим к микропроцессорной системе модуль памяти, содержащий: ПЗУ, ОЗУ1 и ОЗУ2.

Количество слов ПЗУ (ПЗУкс) вычислим по формуле:

ПЗУкс=2 ММ =2 01 =2

Количество слов ОЗУ2 (ОЗУ2кс) вычисляем следующим образом:

1. вычислить возможное количество слов Y по формуле:

Y = (ЗУап - ПЗУкс) / 3=(64*1024-2*16)/3=21 (к)

2. полученное количество слов Y округлить до ОЗУ2кс, удовлетворяющей следующим условиям:

а) ОЗУ2кс? Y;

б) ОЗУ2кс кратен 2 n (n = 0, 1, 2, …).

n=4, 2 n =16 ? 21.

Количество слов Y = 16

Количество слов ОЗУ1 (ОЗУ1кс) вычислить по формуле:

1. вычислить возможный объем Y по формуле:

Y = (ЗУап - ПЗУкс - ОЗУ2кс) / 3=(64*1024-2*16-16*16)/3=21 (к)

2. полученное количество слов Y округлить до ОЗУ1кс, удовлетворяющей следующим условиям:

а) ОЗУ1кс? Y;

б) ОЗУ1кс кратен 2 n (n = 0, 1, 2, …)

n=4, 2 n =16 ? 21.

Микросхема ПЗУ содержит: 1 адресный вход по количеству слов, подаваемых на вход, 8 выходов данных для подключения ОЗУ, входы для управляющих сигналов - выбор кристалла и EO - состояние выхода.

Микросхемы ОЗУ1 и ОЗУ2 содержат: по 4 адресных входа, совмещенные входы/выходы данных, входы для управляющих сигналов CS - выбор кристалла, /R-запись/чтение.

Спроектируем элемент микросхемы ПЗУ с помощью инструментария приложения MS Visio 2010 как показано на рисунке 1.

Рисунок 1. Проект микросхемы ПЗУ

Подберем подходящий аналог, воспользовавшись . Предварительно отобразим в таблице назначение выводов микросхем, как показано в Табл. 1.

Таблица 1. Обозначение выводов микросхем памяти

Наименование

Обозначение

Тактовый сигнал

Строб адреса столбца

Строб адреса строки

Выбор микросхемы

Разрешение

Считывание

Запись - Считывание

Разрешение записи

Разрешение по выходу (считывания)

Данные (информация)

Входные данные

Выходные данные

Адрес, данные:вход, выход

Данные: вход, выход

Регенерация

Программирование

Стирание

Напряжение питания

Напряжение программирования

Общий вывод микросхемы

Микросхемы ПЗУ по способу занесения в них информации делятся на три группы: масочные ПЗУ, однократно программируемые по способу шаблона (маски), однократно программируемые по способу прожигания перемычек на кристалле ППЗУ, многократно программируемые пользователем РПЗУ.

При проектировании микросхем, если объем RAM невелик (до 1024 бит), то память набирают из отдельных триггеров. В ином случае пользуются библиотеками готовых модулей памяти. При разработке проекта для ПЛИС готовые модули памяти имеют объем 16, 32, 2048, 4096 бит. В последних сериях ПЛИС объем модуля RAM возрос до 16К или 18К бит. Разрядность модуля RAM может задаваться из ряда: 1,2,4,8 и 16 бит. Запись данных и адреса в модуль RAM всегда выполняется по фронту синхросерии или сигнала записи, т.е. вход модуля можно рассматривать как вход синхронного регистра. Чтение данного чаще всего выполняется в следующем такте после такта приема адреса. Иногда на выходе модуля RAM стоит синхронный регистр, запоминающий прочитанное слово. Запись и чтение из модуля может выполняться по конвейерному принципу: в одном такте записывается адрес нового данного и выдается прочитанное данное по предыдущему адресу. Для формирования RAM большого объема собирают систему из нескольких готовых модулей, дешифратора адреса для селекции модуля и выходного мультиплексора. Различные варианты RAM в лабораторной работе имеют три, две или одну шину. В первом случае шины входного, выходного данного и адреса - раздельны, во втором случае шина входного и выходного данного совмещены и в третьем случае и адрес, и данные передаются по одной шине мультиплексированно.

В соответствии с заданием выберем масочную ПЗУ, структурная схема, которой показана на рисунке 2.

Рисунок 2. Структурная схема масочной ПЗУ

Программирование микросхем ПЗУМ осуществляется однократно. Микросхема обладает свойством энергозависимости. Микросхемы ПЗУМ работают в режимах: считывания и хранения. Для считывания информации необходимо подать код адреса и разрешающие сигналы управления.

Подобранный тип микросхемы и соответствующие характеристики изображены на рисунке 3.

Рисунок 3. Вид микросхемы

Выбираем микросхему KP1610PE1. Характеристики микросхемы показаны в таблице 2.

Таблица 2

Тип микросхемы

Емкость, бит

P пит, мВт

Тип выхода

Спроектируем элемент микросхемы ОЗУ с помощью инструментария приложения MS Visio 2010 как показано на рисунке 4.

микросхема запоминающий электрический

Рисунок 4. Проект микросхемы ОЗУ

2. Расширение адресного пространства

К микропроцессорной системе, спроектированной в прошлом разделе, подсоединяем дополнительный модуль оперативного запоминающего устройства (ОЗУд), содержащее N слов. Для определения количества слов памяти необходимо:

1. определить размер свободного, наибольшего, участка адресного

пространства запоминающих устройств, Y;

Y=(ЗУап - ПЗУкс - ОЗУ2кс- ОЗУ1кс) / 3=(64*1024-2*16-16*16-16*16)/3=21 (к)

2. размер Y округлить до N слов удовлетворяющих следующим условиям:

б) N кратен 2 n (n=0,1,2,…).

ОЗУд содержит: 7 адресных входов, совмещенные входы/выходы данных, входы для управляющих сигналов - выбор кристалла, -запись, -чтение.

3. Электрическое взаимодействие микропроцессорных компонентов

Произведем проверку электрического сопряжения элементов микропроцессорной системы, используя принципиальную электрическую схему микропроцессорной системы, реализованную на базе реальных элементов.

В расчетах используем характеристики приведенные ниже.

1. Модуль процессора:

I0вх, мА? - 2

I1вх, мА? 0,05

Uпит, В = 5 ± 5%.

U1вых, В? 2,7

U0вых, В? 0,5

I0вых, мА? 100

I1вых мА? -5

Cвых, пФ? 10

Устройство ввода, устройство ввода/вывод:

I0вх, мА? -1,6

I1вх, мА? 0,04

Uпит, В = 5 ± 5%.

U1вых, В? 2,7

U0вых, В? 0,5

I0вых, мА? 48

I1вых мА? -2,4

Cвых, пФ? 10

Остальные микросхемы в соответствии с паспортными данными.

Заключение

В настоящее время доля электронных информационных устройств и устройств автоматики непрерывно увеличивается. Это является результатом развития интегральной технологии, внедрение которой позволило наладить массовый выпуск дешевых, высококачественных, не требующих специальной настройки и наладки микроэлектронных функциональных узлов различного назначения, основой которых являются такие элементы электроники как полупроводниковые приборы. Создание разнообразных и замечательных по своим свойствам полупроводниковых приборов обусловили современные успехи электроники.

Чтобы изучить современную электронику, надо, прежде всего, познать принципы устройства и физические основы работы этих приборов, их характеристики, параметры и важнейшие свойства, определяющие возможности их применения. При этом очень важным являются оперативность и точность получения информации, чему должно способствовать использование различных технических средств.

В данной курсовой работе были разработаны принципиальные электрические схемы и подобраны компоненты микросхем в соответствии с заданным вариантом, а также исследованы возможности их замены и расширения.

Список литературы

1. Лучший поисковик электронных компонентов

2. ***RUSSIAN ELECTRONIC***

3. РадиоФанат

4. Телемастер

5. Промэлектроника

6. РЫНОК МИКРОЭЛЕКТРОНИКИ (справочник)

7. ЭЛЕКТРОННЫЕ КОМПОНЕНТЫ СО ВСЕГО МИРА

8. ПРАКТИЧЕСКАЯ ЭЛЕКТРОНИКА

9. РадиоЛоцман -- Схемы

10. Митинский радиорынок

11. Конференция «Микроконтроллеры и их применение»

12. ООО "Микро-Чип"

13. Виртуальный магазин DESSY

14. Русскоязычная страница ATMEL

15. Schemes Site (принцип.схемы)

16. СПРАВОЧНИК ПО РАДИОДЕТАЛЯМ

Размещено на Allbest.ru

Подобные документы

    Применение цифровых микросхем для вычисления, управления и обработки информации. Назначение микропроцессора и устройств микропроцессорной системы, их структурная и принципиальная схемы. Системная шина процессора и распределение адресного пространства.

    курсовая работа , добавлен 29.02.2012

    Расчет статического модуля оперативной памяти и накопителя. Построение принципиальной схемы и временной диаграммы модуля оперативного запоминающего устройства. Проектирование арифметико-логического устройства для деления чисел с фиксированной точкой.

    курсовая работа , добавлен 13.06.2015

    Иерархия запоминающих устройств ЭВМ. Микросхемы и системы памяти. Оперативные запоминающие устройства. Принцип работы запоминающего устройства. Предельно допустимые режимы эксплуатации. Увеличение объема памяти, разрядности и числа хранимых слов.

    курсовая работа , добавлен 14.12.2012

    Разработка структуры корпоративной информационной системы. Проектирование адресного пространства. Обоснование выбора аппаратной конфигурации клиентских станций и серверного оборудования. Расчет стоимости оборудования и программного обеспечения системы.

    курсовая работа , добавлен 15.02.2016

    Рассмотрение структурной схемы микропроцессорной системы обработки данных. Описание архитектуры микроконтроллера ATmega161. Расчет оперативного запоминающего устройства. Строение, назначение адаптера параллельного интерфейса, способы его программирования.

    курсовая работа , добавлен 24.09.2010

    Назначение и устройство микропроцессорной системы контроля. Описание функциональной схемы микропроцессорной системы контроля. Расчет статической характеристики канала измерения. Разработка алгоритма функционирования микропроцессорной системы контроля.

    курсовая работа , добавлен 30.08.2010

    Разработка структурной схемы и обобщенного алгоритма работы прибора. Оценка максимальной погрешности линейного датчика давления и нормирующего усилителя. Разработка элементов принципиальной электрической схемы микропроцессорной системы сбора данных.

    курсовая работа , добавлен 08.02.2015

    Анализ вариантов проектных решений и выбор на его основе оптимального решения. Синтез функциональной схемы микропроцессорной системы на основе анализа исходных данных. Процесс разработки аппаратного и программного обеспечения микропроцессорной системы.

    курсовая работа , добавлен 20.05.2014

    Структура аппаратуры передачи информации. Разработка передающей и приемной части в соответствии с заданными параметрами. Разработка функциональной схемы устройства преобразования сигналов и устройства защиты от ошибок, алгоритм его функционирования.

    курсовая работа , добавлен 12.03.2009

    Структура корпоративной информационной системы организации. Разработка адресного пространства и системы DNS. Структура домена КИС. Выбор аппаратной и программной конфигурации рабочих станций и серверного оборудования. Конфигурирование типовых сервисов.

Все виды памяти, которые мы рассматривали до сих пор, имеют одно общее свой­ство: в них можно и записывать информацию, и считывать ее. Такая память назы­вается ОЗУ (оперативное запоминающее устройство). Существует два типа ОЗУ: статическое и динамическое. Статическое ОЗУ конструируется с использовани­ем D-триггеров. Информация в ОЗУ сохраняется на протяжении всего времени, пока к нему подается питание: секунды, минуты, часы и даже дни. Статическое ОЗУ работает очень быстро. Обычно время доступа составляет несколько нано­секунд. По этой причине статическое ОЗУ часто используется в качестве кэш-па­мяти второго уровня.

В динамическом ОЗУ, напротив, триггеры не используются. Динамическое ОЗУ представляет собой массив ячеек, каждая из которых содержит транзистор и крошечный конденсатор. Конденсаторы могут быть заряженными и разряженны­ми, что позволяет хранить нули и единицы. Поскольку электрический заряд имеет тенденцию исчезать, каждый бит в динамическом ОЗУ должен обновляться (пе­резаряжаться) каждые несколько миллисекунд, чтобы предотвратить утечку дан­ных. Поскольку об обновлении должна заботиться внешняя логика, динамическое ОЗУ требует более сложного сопряжения, чем статическое, хотя этот недостаток компенсируется большим объемом.

Поскольку динамическому ОЗУ нужен только 1 транзистор и 1 конденсатор на бит (статическому ОЗУ требуется в лучшем случае б транзисторов на бит), дина­мическое ОЗУ имеет очень высокую плотность записи (много битов на одну микро­схему). По этой причине основная память почти всегда строится на основе динами­ческих ОЗУ. Однако динамические ОЗУ работают очень медленно (время доступа занимает десятки наносекунд). Таким образом, сочетание кэш-памяти на основе статического ОЗУ и основной памяти на основе динамического ОЗУ соединяет в себе преимущества обоих устройств.

Существует несколько типов динамических ОЗУ. Самый древний тип, кото­рый все еще используется, - FPM (Fast Page Mode - быстрый постраничный


Память 175

режим)-. Это ОЗУ представляет собой матрицу битов. Аппаратное обеспечение представляет адрес строки, а затем - адреса столбцов (мы описывали этот процесс, когда говорили об устройстве памяти, показанном на рис. 3.30, 6).

FPM постепенно замещается EDO 1 (Extended Data Output - память с расши­ренными возможностями вывода), которая позволяет обращаться к памяти еще до того, как закончилось предыдущее обращение. Такой конвейерный режим не ускоряет доступ к памяти, но зато увеличивает пропускную способность, выдавая больше слов в секунду.

И FPM, и EDO являются асинхронными. В отличие от них так называемое син­хронное динамическое ОЗУ управляется одним синхронизирующим сигналом. Данное устройство представляет собой гибрид статического и динамического ОЗУ. Синхронное динамическое ОЗУ часто используется при производстве кэш-памя­ти большого объема. Возможно, данная технология в будущем станет наиболее предпочтительной и в изготовлении основной памяти.



ОЗУ - не единственный тип микросхем памяти. Во многих случаях данные должны сохраняться, даже если питание отключено (например, если речь идет об игрушках, различных приборах и машинах). Более того, после установки ни про­граммы, ни данные не должны изменяться. Эти требования привели к появлению ПЗУ (постоянных запоминающих устройств), которые не позволяют изменять и стирать хранящуюся в них информацию (ни умышленно, ни случайно). Данные записываются в ПЗУ в процессе производства. Для этого изготавливается трафарет с определенным набором битов, который накладывается на фоточувствительный материал, а затем открытые (или закрытые) части поверхности вытравливаются. Единственный способ изменить программу в ПЗУ - поменять целую микросхему.

ПЗУ стоят гораздо дешевле ОЗУ, если заказывать их большими партиями, что­бы оплатить расходы на изготовление трафарета. Однако они не допускают измене­ний после выпуска с производства, а между подачей заказа на ПЗУ и его выполне­нием может пройти несколько недель. Чтобы компаниям было проще разрабатывать новые устройства, основанные на ПЗУ, были выпущены программируемые ПЗУ. В отличие от обычных ПЗУ, их можно программировать в условиях эксплуата­ции, что позволяет сократить время выполнения заказа. Многие программируе­мые ПЗУ содержат массив крошечных плавких перемычек. Можно пережечь определенную перемычку, если выбрать нужную строку и нужный столбец, а затем приложить высокое напряжение к определенному выводу микросхемы.

Следующая разработка этой линии - стираемое программируемое ПЗУ, ко­торое можно не только программировать в условиях эксплуатации, но и стирать с него информацию. Если кварцевое окно в данном ПЗУ подвергать воздействию сильного ультрафиолетового света в течение 15 минут, все биты установятся на 1. Если нужно сделать много изменений во время одного этапа проектирования, сти­раемые ПЗУ гораздо экономичнее, чем обычные программируемые ПЗУ, поскольку их можно использовать многократно. Стираемые программируемые ПЗУ обычно устроены так же, как статические ОЗУ. Например, микросхема 27С040 имеет структуру, которая показана на рис. 3.30, а, а такая структура типична для стати­ческого ОЗУ.

Динамическая намять типа EDO вытеснила обычную динамическую память, работающую н режиме FPM, в середине 90-х годов. - Примеч. научи, ред.


Следующий этап - электронно-перепрограммируемое ПЗУ, с которого мож­но стирать информацию, прилагая к нему импульсы, и которое не нужно для этого помещать в специальную камеру, чтобы подвергнуть воздействию ультрафиоле­товых лучей. Кроме того, чтобы перепрограммировать данное устройство, его не нужно вставлять в специальный аппарат для программирования, в отличие от сти­раемого программируемого ПЗУ. Но с другой стороны, самые большие электрон­но-перепрограммируемые ПЗУ в 64 раза меньше обычных стираемых ПЗУ, и ра­ботают они в два раза медленнее. Электронно-перепрограммируемые ПЗУ не могут конкурировать с динамическими и статическими ОЗУ, поскольку они работают в 10 раз медленнее, их емкость в 100 раз меньше и они стоят гораздо дороже. Они используются только в тех ситуациях, когда необходимо сохранение информации при выключении питания.

Более современный тип электронно-перепрограммируемого ПЗУ - флэш-па­мять. В отличие от стираемого ПЗУ, которое стирается под воздействием ультра­фиолетовых лучей, и от электронно-программируемого ПЗУ, которое стирается по байтам, флэш-память стирается и записывается блоками. Как и любое элект­ронно-перепрограммируемое ПЗУ, флэш-память можно стирать, не вынимая ее из микросхемы. Многие изготовители производят небольшие печатные платы, со­держащие десятки мегабайтов флэш-памяти. Они используются для хранения изоб­ражений в цифровых камерах и для других целей. Возможно, когда-нибудь флэш-память вытеснит диски, что будет грандиозным шагом вперед, учитывая время доступа в 100 не. Основной технической проблемой в данный момент является то, что флэш-память изнашивается после 10 000 стираний, а диски могут служить го­дами независимо от того, сколько раз они перезаписывались. Краткое описание различных типов памяти дано в табл. 3.2.

Таблица 3.2. Характеристики различных видов памяти

Тип запо- Категория Стирание Изменение Энерго- Применение
минающего записи информации зависи-
устройства по байтам мость
Статическое Чтение/ Электрическое Да Да Кэш-память
ОЗУ (SRAM) запись второго уровня
Динамическое Чтение/ Электрическое Да Да Основная память
ОЗУ (DRAM) запись
ПЗУ(ЯОМ) Только Невозможно Нет Нет Устройства
чтение большого размера
Програм- Только Невозможно Нет Нет Устройства
мируемое чтение небольшого
ПЗУ (PROM) размера
Стираемое Преиму- Ультра- Нет Нет Моделирование
програм- щественно фиолетовый устройств
мируемое чтение свет
ПЗУ(ЕРРЮМ)
Электронно- Преиму- Электрическое Да Нет Моделирование
перепрограм- щественно устройств
мируемое ПЗУ чтение
(EEPROM)
флэш-память Чтение/ Электрическое Нет Нет Цифровые камеры
(Flash) запись

Микросхемы процессоров и шины 177

Микросхемы процессоров и шины

Поскольку нам уже известна некоторая информация о МИС, СИС и микросхе­мах памяти, то мы можем сложить все составные части вместе и изучать целые системы. В этом разделе сначала мы рассмотрим процессоры на цифровом ло­гическом уровне, включая цоколевку (то есть значение сигналов на различных выводах). Поскольку центральные процессоры тесно связаны с шинами, которые они используют, мы также кратко изложим основные принципы разработки шин. Б следующих разделах мы подробно опишем примеры центральных процессоров и шин для них.

Микросхемы процессоров

Все современные процессоры помещаются на одной микросхеме. Это делает впол­не определенным их взаимодействие с остальными частями системы. Каждая мик­росхема процессора содержит набор выводов, через которые происходит обмен информацией с внешним миром. Одни выводы передают сигналы от централь­ного процессора, другие принимают сигналы от других компонентов, третьи дела­ют и то и другое. Изучив функции всех выводов, мы сможем узнать, как процессор взаимодействует с памятью и устройствами ввода-вывода на цифровом логичес­ком уровне.

Выводы микросхемы центрального процессора можно подразделить на три типа: адресные, информационные и управляющие. Эти выводы связаны с соответству­ющими выводами на микросхемах памяти и микросхемах устройств ввода-вывода через набор параллельных проводов (так называемую шину). Чтобы вызвать ко­манду, центральный процессор сначала посылает в память адрес этой команды по адресным выводам. Затем он запускает одну или несколько линий управления, чтобы сообщить памяти, что ему нужно, например, прочитать слово. Память выда­ет ответ, помещая требуемое слово на информационные выводы процессора и по­сылая сигнал о том, что это сделано. Когда центральный процессор получает дан­ный сигнал, он принимает слово и выполняет вызванную команду. ■ Команда может требовать чтения или записи слов, содержащих данные. В этом случае весь процесс повторяется для каждого дополнительного слова. Как проис­ходит процесс чтения и записи, мы подробно рассмотрим ниже. Важно понимать, что центральный процессор обменивается информацией с памятью и устройства­ми ввода-вывода, подавая сигналы на выводы и принимая сигналы на входы. Дру­гого способа обмена информацией не существует.

Число адресных выводов и число информационных выводов - два ключевых параметра, которые определяют производительность процессора. Микросхема, содержащая m адресных выводов, может обращаться к 2 т ячейкам памяти. Обыч­но m равно 16, 20, 32 или 64. Микросхема, содержащая п информационных выво­дов, может считывать или записывать n-битное слово за одну операцию. Обычно п равно 8, 16, 32, 36 или 64. Центральному процессору с 8 информационными выво­дами понадобится 4 операции, чтобы считать 32-битное слово, тогда как процес­сор, имеющий 32 информационных вывода, может сделать ту же работу в одну

Глава 3. Цифровой логический уровень


операцию. Следовательно, микросхема с 32 информационными выводами работа­ет гораздо быстрее, но и стоит гораздо дороже.

Кроме адресных и информационных выводов каждый процессор содержит вы­воды управления. Выводы управления регулируют и синхронизируют поток дан­ных к процессору и от него, а также выполняют другие разнообразные функции. Все процессоры содержат выводы для питания (обычно +3,3 В или +5 В), «земли» и синхронизирующего сигнала (меандра). Остальные выводы разнятся от процес­сора к процессору. Тем не менее выводы управления можно разделить на несколь­ко основных категорий:

1. Управление шиной.

2. Прерывание.

3. Арбитраж шины.

4. Состояние.

5. Разное.

Ниже мы кратко опишем каждую из этих категорий. Когда мы будем рассмат­ривать микросхемы Pentium II, UltraSPARC II и picojava II, мы дадим более по­дробную информацию. Схема типичного центрального процессора, в котором ис­пользуются эти типы сигналов, изображена на рис. 3.31.


ОЗУ (англ. RAM) и ПЗУ (англ. ROM) - это цифровые накопители информации. Их применяют, если внутренних ресурсов MK по тем или иным причинам недостаточно. Для сравнения, объём памяти данных MK составляет 0.5…8 Кбайт, объём памяти программ - 2…256 Кбайт. Подключить же к MK можно ещё одну или несколько внешних микросхем ОЗУ ёмкостью 32…512 Кбайт или флэш-ПЗУ ёмкостью 0.5…128 Мбайт. Увеличение вычислительных ресурсов налицо.

Обобщённые структурные схемы ОЗУ и ПЗУ во многом совпадают (Рис. 3.8). Базой служит прямоугольная матрица ячеек памяти, доступ к которой осуществляется через линии адреса AO…An, а чтение/запись - через двунаправленную шину данных I/OO…I/Ok. Многочисленные разновидности ОЗУ и ПЗУ отличаются друг от друга логикой формирования сигналов управления CS, WR, RD, а также наличием или отсутствием мультиплексирования адресных линий.

Рис. 3.8. Структурная схема ОЗУ (ПЗУ).

Внешние ПЗУ лучше использовать «низковольтные» электрически перезаписываемые (ключевое слово «Flash»). Напряжение программирования у них составляет 5 В в отличие от 12…27 В в старинных «высоковольтных» ПЗУ 27C256, КР573РФ6А, которые применять сейчас совместно с MK не имеет смысла.

Типовое время хранения информации в флэш-ПЗУ достигает 10…40 лет при 0.1…1 млн циклов перезаписи. Различают последовательные и параллельные флэш-ПЗУ. Первые из них малогабаритные, маловыводные, но они имеют низкую скорость доступа и невысокую ёмкость. Пример - серии 24Cxxx, 93Cxx. Для подключения таких ПЗУ к MK применяют двух или трёхпроводные интерфейсы PC, SPI. В противовес этому параллельные флэш-ПЗУ обладают большим объёмом памяти, хорошим быстродействием, но требуют для сопряжения с MK много выводов (два-три свободных 8-битных порта). Пример - серии 28Fxxx, 29Cxxx.

Внешние ОЗУ имеют высокую скорость записи и чтения, но информация в них теряется при выключении питания. Для сопряжения ОЗУ с MK используют обе линии портов. Иногда выгоднее их перевести в специальный режим «External RAM», при котором область внешнего ОЗУ включается в общую карту памяти. Поддерживает ли конкретный MK подобный режим, можно определить по специфическим названиям линий портов в его условном обозначении. Например, на Рис. 3.9 это «АР0»…«АР7» (шина данных/адреса), «А8»…«А15» (старшие разряды шины адреса), «ALE», «WR», «RD» (сигналы управления).

На Рис. 3.10, а…и приведены схемы подключения внешней памяти к MK.

а) микросхема DS1 (фирма Samsung) - это «интеллектуальное» перепрограммируемое ПЗУ с собственой системой команд. Применяется, в частности, в USB-накопителях;

Рис. 3.9. Расположение выводов и названия сигналов в MK Atmel ATmega8515.

б) 16-разрядная информация в динамическом ОЗУ DS1 (фирма OKI) передаётся/принимается через выводы «1/01»…«1/04» последовательно во времени четырьмя блоками;

Рис. ЗЛО. Схемы подключения внешней памяти к MK (продолжение):

в) шина адреса «А0»…«А18» и шина данных «Ю0»…«Ю7» статического ОЗУ DS1 (фирма Samsung) мультиплексируются регистрами DD1, DD2. По фронтам сигналов F1, F2 в регистрах за два раза защёлкивается полный адрес ячейки. Недостающие адреса формируются прямо от MK («R0»…«R2»). При чтении/записи ОЗУ («*RD»/«*WR») работают 8 верхних линий MK;

г) DS1 - это ферроэлектрическое последовательное «ОЗУ/ПЗУ» FRAM (фирма Ramtron), подключаемое к MK по шине PC. При поданном питании FRAM эквивалентна ОЗУ, а при выключенном - ПЗУ. Число перезаписей не ограничено (!), время хранения информации 45 лет;

д) подключение последовательного ОЗУ DS1 (64Kx8) к MK через трёхпроводной интерфейс и «антизвонные» резисторы R2…R4;

Рис. 3.10. Схемы подключения внешней памяти к MK (продолжение):

е) в регистре DD1 хранятся младшие 8 бит шины адреса. Старшие 7 бит подаются от MK непосредственно на ОЗУ DS1 фирмы Hitachi. MK работает в режиме «External RAM». На вход «СЕ» ОЗУ DS1 вместо общего провода можно подать сигнал разрешения со свободного выхода MK. Это позволяет снизить общий расход энергии от источника питания, поскольку при ВЫСОКОМ уровне на входе «СЕ» микросхема DS1 переходит в экономичный режим хранения данных;

ж) подключение к MK последовательного флэш-ОЗУ DS1 фирмы Atmel. Если переключатель S1 замкнут, то в ОЗУ нельзя записывать данные, это режим защиты. Резисторы R3, R4 в некоторых схемах отсутствуют. Замена DS1 - любое ОЗУ большей/меньшей ёмкости из семейства DataFlash AT45DB фирмы Atmel, включая устаревшие модели AT45DB081B-CNU;

Рис. 3.10. Схемы подключения внешней памяти к MK (окончание):

з) при прямом подключении флэш-ПЗУ DS1 (фирма AMD) к MK требуется большое количество свободных линий портов. Некоторые выходные линии MK, например, «А7», могут быть одновременно задействованы для управления другими узлами, однако делать это допускается только тогда, когда отсутствует обращение к ПЗУ, т.е. при ВЫСОКОМ уровне сигнала «ОЕ»;

и) увеличение ёмкости ОЗУ за счёт параллельного соединения микросхем DSl…DSn. Каждое из подключённых ОЗУ имеет собственный сетевой программный адрес, который определяется разными логическими уровнями на входах «АО», «А1», «А2».